約 1,344,970 件
https://w.atwiki.jp/marykiban/pages/49.html
トラ技増刊の動作確認 第20章のプログラムをGBとOB用のマイコンに書き込んでから接続します。 電源を入れてしばらくすると衛星の信号をキャッチして数字がでました。 室内からでも普通に受信しています。 GB基板とPCのみで使う GB基板のみをPCのUSBに接続してTeraTarmで受信してみると、9800bpsでテキストデータとして読めます。 フリーソフトで地図と連動もできました。(GoogleMap現在地表示 http //www.vector.co.jp/soft/winnt/home/se492017.html ) 実際は家の中ですが、外を表示していますw MARY-NAVI MARY-NAVIのページへ
https://w.atwiki.jp/undonut_mod/pages/22.html
URLを一斉に開く方法 http //--- http //--- http //--- というようにURLが羅列してある場合、アドレスを全部反転選択した状態で右クリ押しながら左クリで全部一気に見れる。 (「URLテキストを開く」と同じことが出来る) 2chなどクリックするとリダイレクトページに飛ぶような場合は特に便利。 IE8のjscript.dllをIE6(7)で利用する IE8をこちらからダウンロード 解凍ソフトで解凍(注意 EXEを実行しない) 解凍して出来たフォルダの中から「jscript.dll」を「C \WINDOWS\system32」内の「jscript.dll」に上書き Replacer使えば、セーフモードで起動しなくても済む JavaScriptの表示が高速化するため多くのページで表示速度が上昇する。(ウェブメールなどでは特に顕著) 別のブラウザでの動作確認 アドレス欄の一番左にあるアイコンダブルクリックすると別のブラウザでそのアドレスを開いてくれる。デフォルトではIEだが変更可能。 IE依存の不具合かどうか確かめる場合に手っ取り早い。 右クリックからカット・ペーストが出来ない(+mod.1.42以降) Ctrl+X、Ctrl+Vは使用可能なのでそれで代用するか、unDonut.INIを以下のように修正する。 [ETC] IeMenuCutPaste=1 IE8の不具合まとめ バージョン1.48現在unDonut+modはIE8に非対応ですが、幾つかの不具合を除きIE8でもそこそこ正常動作しているようです。 Ctrl+マウスホイル上下(もしくは+キー、-キー)での文字サイズ変更が効かない 「お気に入りの整理」でいつもの画面が出ない ソースの表示でローカルファイルが開けない(開く方法はあるらしい 詳細不明) 他にも種々の不具合(詳細不明 環境依存の可能性あり)があるようなのであくまで自己責任で試してください。
https://w.atwiki.jp/dokowifi/
どこでもwi-fiのレビューページへようこそ 本日 カウント - 人 累積 カウント - 人 このwikiサイトでは、実際自分が使っている【どこでもwi-fi】の検証、動作確認したソフトなどを載せていきますので、参考にしていただければ幸いです。 尚、随時みなさんからの検証、動作確認ソフトの募集もしてますので、コメントにて連絡ください。 color01.jpg
https://w.atwiki.jp/mhikichi/pages/17.html
jsdo.itというwebブラウザ上でコードを編集して公開もできる開発環境をつかいます。 作ったプログラムはスマートフォンからでも動作確認がすぐに出来るのでお手軽にスマートフォンアプリの開発もできます。 動作環境はウェブブラウザのみ jsdo.itにアクセスします。 アカウントはgoogleやtwitterやfacebook等いろいろ使えます。 どれも持ってない場合は、あらかじめアカウントを取得しておきましょう。 最初の一つというならgoogleが良いかもしれません。Gmailも使えるし。 コーディング開始 jsdo.itにログインできたら、Start codeingするとコーディング開始できます。 メニューにはREADME, JavaScript, HTML, CSS, Files があります。 README説明を書く事が出来ます JavaScriptここにJavaScriptのプログラムを記述します。 HTMLJavaScript外のHTML書きます。 CSSCSSも記述できます。 FilesJavaScript等から使用する画像ファイル等を送る事が出来ます。
https://w.atwiki.jp/linux_kai/pages/50.html
Total - (Today - Yesterday - ) 最終更新日 2014/05/27 06 29 rt3070staはベンダ(Ralink)が公開しているドライバで、導入時にドライバモジュールのビルドが必要です インタフェース名はraX ( X は 0, 1, 2, ... )になります、これをkai設定で指定します Linuxカーネル3.0 (2.6.40)以降の場合、rt2800usbを参照してください 1.対応しているかもしれない製品の情報 2.ディストリビューション別の情報 3.設定の例 4.不具合情報 5.その他 コメント 1.対応しているかもしれない製品の情報 動作確認済み情報は動作確認まとめを参照 対応しているかもしれない国内メーカー販売製品の一覧。ほとんど動作未確認の状態 2008年~2009年に発売された製品が多い WindowsやMacOSXのXLinkKai向けとして販売されていない製品も含む メーカー 製品 チップ VID PID 確認情報有無 動作 備考 BUFFALO WLI-UC-AG300N Ralink RT2870F+RT2850L 0411 012E なし -- BUFFALO WLI-UC-G300HP Ralink RT2870F+RT2820L 0411 0148 なし -- BUFFALO WLI-UC-G300N Ralink RT2870F+RT2820L 0411 00E8 なし -- BUFFALO WLI-UC-GN Ralink RT3070L 0411 015D あり ○ 黒 BUFFALO WLI-UC-GNHP Ralink RT3070L 0411 0158 なし -- BUFFALO WLI-UC-GNM Ralink RT8070V 0411 01A2 なし -- BUFFALO WLI-UC-GNM2 Ralink RT8070V 0411 01EE なし -- 黒 BUFFALO WLI-UC-GNM2T Ralink RT8070V 0411 01EE なし -- 白 BUFFALO WLI-UC-GNP Ralink RT3070L 0411 019E あり ○ BUFFALO WLI-UC-GNT Ralink RT3070L 0411 015D なし -- 白 COREGA CG-WLUSB2GNL Ralink RT3070? 07AA 003C なし -- COREGA CG-WLUSB300AGN Ralink RT2870? 07AA 003F なし -- COREGA CG-WLUSB300GNM Ralink RT2870? 07AA 0042 なし -- IODATA WN-G150U Ralink RT3070? 04BB 0947 あり ○ IODATA WN-G300U Ralink RT3072? 04BB 0948 なし -- LOGITEC LAN-GMW/DS Ralink RT8070V 0789 0168 なし -- LOGITEC LAN-GMW/PSP Ralink RT8070V 0789 0168 なし -- LOGITEC LAN-W150N/U2 Ralink RT8070V 0789 0164 なし -- LOGITEC LAN-W300N/U2 Ralink RT3072L 0789 0166 なし -- LOGITEC LAN-WN11/U2 Ralink RT3070L 0789 0164 あり ○ LOGITEC LAN-WN12/U2 Ralink RT3070? 0789 0163 なし -- LOGITEC LAN-WN22/U2 Ralink RT3070? 0789 0162 なし -- PLANEX GW-US300Mini2 Ralink RT2770F+RT2720L 2019 ED10 なし -- PLANEX GW-US300MiniS Ralink RT2770?+RT2720? 2019 AB24 なし -- PLANEX GW-USMicro300 Ralink RT3072L 2019 AB29 なし -- PLANEX GW-USMicroN Ralink RT3070L 2019 ED14 あり ○ 白 PLANEX GW-USMicroN-G Ralink RT3070L 2019 ED14 なし -- 黒 PLANEX GW-USMini2N Ralink RT3070L 2019 AB25 なし -- 2.ディストリビューション別の情報 ドライバをビルドするために開発ツール類、カーネルソースなどの事前準備が必要です 準備編を参照して環境を整えましょう その他のディストリビューション別情報を追加してください 3.設定の例 ドライバのビルドとインストールについては下記を参照してください バージョン2.5.0.2は、slackware例に書いてあります debian + WLI-UC-GNPslackware-13.37 + GW-USMicroNubuntu-11.04 + LAN-WN11U2 その他の設定例を追加してください 4.不具合情報 Communications between the UI and Engine appear to have stopped responding. Is the engine still running? Please refresh your web browser to proceed rt3070staドライバモジュールの特徴として、PSPとの無線通信が途切れる時間が長いとKaiが上記エラーを発生しやすい。PSPとの無線通信が復活するとUI接続可能に戻る。 使用上の若干の難はあるが、通信中(ゲーム中)の動作は良好 MHP3rdの場合、集会浴場にキャラが居る場合が多いので実用上の難はほぼないといえるかも。 その他の不具合情報を追加してください 5.その他 RT2870チップ製品に関しては、rt3070staではなく、rt2870staドライバでないと動かないかも??(未確認情報) その他の情報を追加してください 以上でrt3070staまとめは終わり。 上へ戻る コメント PID誤りの修正、他アダプタ情報の追加 -- kar (2010-12-07 23 48 56) WN-G150Uの動作確認情報の反映 -- kar (2011-01-23 10 36 09) LAN-WN11/U2の動作確認結果を反映 -- kar (2011-04-23 18 15 52) GW-USMicroN等のチップ型番誤りを修正、RT2870= RT3070L -- kar (2011-04-26 23 32 13) WLI-UC-GNMのチップ型番誤りを修正、RT2870?= RT8070 -- kar (2011-06-11 13 17 58) WLI-UC-GNの動作確認結果を反映 -- kar (2011-06-18 17 42 29) rt2800usbへのリンクを追加 -- kar (2012-05-26 19 47 52) 製品情報の表を更新しました -- kar (2012-06-22 00 06 41) WLI-UC-GNM2のチップ型番誤りを修正、RT3070?= RT8070V -- kar (2014-05-27 06 29 00) 名前 コメント
https://w.atwiki.jp/efz_bot/pages/19.html
下記は個人的なメモでございます。 他にも動作確認が取れているノートがございましたら教えて頂けると嬉しいです。 ■FPS64で動作確認がされたもの ThinkPad x201(動作不安定。お勧めしない) FLORA 270W MF1(キーボードを使うとおかしい??キーボーダーにはお勧めしない) HP nx6120 HP nx6320 FMV-B8200 FMV-B8250(Intel® Core™ 2Duo 超低電圧版 U7500(1.06GHz)) ttp //www.fmworld.net/biz/fmv/product/hard/blb0710/b8250/ dynabook TX/65G(OSはXPに入れ替え) ttp //dynabook.com/pc/catalog/dynabook/080820tx/index_j.htm フロンティア FRNU305(OSはXPに入れ替え) http //www.frontier-k.co.jp/direct/g/g78177/ ■FPS63だったもの ThinkPad R50e 名前 コメント
https://w.atwiki.jp/01128/pages/28.html
date 2012/1/29 PHPを導入する! 現在、PHPはVer5みたい。つまりphp5ってことかな? $ sudo apt-get install php5 おお。正解。apt-getで指定する名前はどこで公開されるんだろうね? MySQLとの連携 当然だけど連携させなきゃ。 $ sudo apt-get install php5-mysql なんか本当か?というくらい簡単。キーワード知ってればいいだけじゃん。。 動作確認 PHP動作確認用ページを作成後、 /var/www/info.php リブートして $ sudo /etc/init.d/apache2 restart でけたー!MySQLとの連携もうまくいっているみたい。 参考URL http //ja.wikipedia.org/wiki/PHP _Hypertext_Preprocessor http //debianj.com/ubuntu/install/lamp_lapp.html
https://w.atwiki.jp/pspeloader/pages/7.html
その他動作確認表
https://w.atwiki.jp/dmori/pages/38.html
非同期乗換え1概要 動作確認 テストコード ソースコード 非同期乗換え1 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 ncverilog 未確認 VCS-MX 未確認 ModelSim 未確認 テストコード (記述予定) initial begin wait(CHECK_START); DAT1 = 0; repeat (100)begin @(posedge CLK1); DAT1 = $random; end repeat(10)@(posedge CLK1); $display(""); $display("NOTE [%m] Main Task is completed. -- %t", $time); TASKS[0] = 1;end ソースコード 低速→高速で使用する。 低速側のパルスをレベルに変換し、高速側でエッジ検出によりパルスに戻す。 module ASYNC( CLK1, RST, CLK2, DAT1, DAT2); input CLK1; input RST; input CLK2; input DAT1; output DAT2; reg r1_async_tgl; reg [1 0] r2_asbuf; reg r2_tgl; always @(posedge CLK1 or posedge RST)begin if(RST)begin r1_async_tgl = 0; end else begin if(DAT1)begin r1_async_tgl = ~r1_async_tgl; end else begin r1_async_tgl = r1_async_tgl; end end end always @(posedge CLK2)begin r2_asbuf[1 0] = {r2_asbuf[0],r1_async_tgl}; r2_tgl = r2_asbuf[1]; end assign DAT2 = r2_tgl ^ r2_asbuf[1]; endmodule link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/freedo/pages/15.html
た タイトル 動作 確認したVer. 備考 対決! るみーず ○ v2.1 タイトル画面がちらつくときがある。 タロット占い 誕生 ~Debut~ PURE~ ち タイトル 動作 確認したVer. 備考 チキチキマシン猛レース ○ V1.9 音飛びが多い。一部再生されない音声がある。 チキチキマシン猛レース2 ~In Space~ ○ V1.9 つ タイトル 動作 確認したVer. 備考 痛快ゲームショー ツイステッド × V1.9 起動しない。(V1.6.2、V1.7、V1.8でも起動せず) 4DO 1.3.0.1で起動確認 て タイトル 動作 確認したVer. 備考 デイドラス~エピソード1:難破船のエイリアン~ ○ V1.9 T E VR GOLF デビルズコース ○ v2.1.1 T E VR GOLF ペブルビーチの波濤 × V1.9 起動はするが、ショット後クラッシュする確率が高い。メニューの日本語がモザイクがかかったように荒い。4DO 1.3.01で動作確認ショット後も問題なし T E VR GOLF マスターズ 遥かなるオーガスタ3 × V1.9 起動はするが、1打目を打った後、高確率でクラッシュする4DO 1.3.01で動作確認ショット後も問題なし。 T E VR GOLF ワイアラエの奇蹟 ○ V1.9 起動確認のみ。PLAY可能 テーマパーク ○ V1.9 鉄人 ○ V1.9 Tetsujin RETURNS ○ V1.9 寺沢武一の「武」 ○ V1.9 音割れがひどい。 DEFCON5 ○ V1.9 Dの食卓 ○ V1.9 Dの食卓~Director s Cut ○ V1.9 デビルズコース → T E VR GOLF デビルズコース デモリションマン ○ 2.02 Alfa! +++ V1.9での「最初のシューティングシーンで敵を全滅させても次のシーンに進まない。」の問題は解消。 電脳漂流 ~Multimedia Crusing~ ○ V1.9 と タイトル 動作 確認したVer. 備考 トゥインクル☆ナイツ プレイには漢字ROM(JFONTROM)が必要。 DOOM ○ V1.9 通販限定販売。マニュアルが日本語版と英語版の2種類付属。予約特典として、攻略本が付属。 LEVEL1のみ動作確認。 トータルエクリプス ○ V1.9 ROUND 1のクリア確認。 闘牌伝アカギ ときめき麻雀パラダイスSpecial~恋のテンパイビート~ ○ V1.9 時を超えた手紙 ○ V1.9 ドクターハウザー ○ V1.9 2つ目の部屋まで動作確認。 閉ざされた館 突撃機関!メガダす!! ○ V1.9 トム・カイトのこれがゴルフだ! ○ V1.9 DISC 1のみ確認。 ドラえもん 友情伝説ザ・ドラえもんズ ○ v2.1 ドラゴンズレア ○ V1.9 ドラゴン・タイクーン・エッジ ○ V1.9 テーマパーク アミューズメントファイル